电建论坛

 找回密码
 注册
查看: 1628|回复: 0

[求助图书] 《VHDL程序设计教程(第3版)》邢建平,曾繁泰 著 清华大学出版社

[复制链接]
发表于 2011-3-9 11:16:51 | 显示全部楼层 |阅读模式
本帖最后由 coolguy008 于 2011-3-9 11:22 编辑


作  者:邢建平,曾繁泰 著
出 版 社:清华大学出版社
出版时间:2005-11-1
版  次:3
页  数:276
字  数:399000
I S B N:9787302116523

编辑推荐
第3版特色:
  选材更加趋于合理。增加了工程训练集成电路设计内容。增加了实验教学的内容。增加了配套的电子教案下载。增加了实例及实验程序的源代码下载。

内容简介
VHDL硬件描述语言是数字电路设计者、大规模专用集成电路(ASIC)设计者及电子设计自动化(EDA)工具之间的接口语言,是现代电子设计的基础语言。
本书从语法规则和程序结构两个方面介绍VHDL语言,重点介绍VHDL语言基础及其程序设计,并介绍了VHDL程序设计实验上机指导。全书分为两部分。第1部分主要讲述VHDL程序设计的相关知识,主要内容包括VHDL的语言基础、词法基础、语法基础、程序设计基础、程序设计实践及用VHDL语言进行集成电路设计等。第2部分按照相关的实验教学大纲讲述VHDL程序设计实验上机的相关内容。
本书内容丰富,讲解清楚,并力求较强的实践性和应用性,适合作为高等院校微电子、电子、通信等专业的教材或教学参考书,也可作为数字电路设计人员和大规模集成电路设计人员的工具书和参考书。

目录
第1部分
 第1章 VHDL语言基础
  1.1 概述
   1.1.1 硬件描述语言的诞生
   1.1.2 HDL语言的种类
   1.1.3 VHDL语言上机操作条件
  1.2 VHDL程序的实体
   1.2.1 实体的组成
   1.2.2 类型说明(可选)
   1.2.3 端口说明
   1.2.4 实体说明部分
  1.3 VHDL程序的结构体
   1.3.1 结构体命名
   1.3.2 信号定义
   1.3.3 结构体的行为描述法
   1.3.4 结构体的数据流描述法
   1.3.5 结构体的结构化描述法
  1.4 小结
  1.5 习题
 第2章 VHDL词法基础 
  2.1 标识符
   2.1.1 短标识符
   2.1.2 扩展标识符
  2.2 对象
   2.2.1 常量
   2.2.2 变量
   2.2.3 信号
   2.2.4 文件
  2.3 数据类型
   2.3.1 标准定义的数据类型
   2.3.2 用户定义的数据类型
  2.4 类型转换
   2.4.1 用类型标记法实现类型转换
   2.4.2 用函数法实现类型转换
   2.4.3 用常数实现类型变换
   2.4.4 数据类型的限定
   2.4.5 IEEE标准数据类型
  2.5 词法单元
   2.5.1 注释
   2.5.2 数字
   2.5.3 字符、字符串和位串
  2.6 运算操作符
   2.6.1 逻辑运算符
   2.6.2 算术运算符
   2.6.3 关系运算符
   2.6.4 并置运算符
   2.6.5 操作符的运算优先级
  2.7 小结
  2.8 习题
 第3章 VHDL语法基础
  3.1 顺序语句
   3.1.1 IF语句
   3.1.2 CASE语句
   3.1.3 LOOP语句
   3.1.4 REPORT语句
  3.2 并行语句
   3.2.1 变量赋值语句
   3.2.2 信号代入语句
   3.2.3 进程语句(process)
   3.2.4 模块语句(block)
   3.2.5 过程语句(procedure)
   3.2.6 函数语句(function)
   3.2.7 并行断言语句(assert)
   3.2.8 参数传递语句(generic)
   3.2.9 元件调用语句(component)
   3.2.10 端口映射语句(port map)
   3.2.11 生成语句(generate)
  3.3 命名规则及注释
  3.4 小结
  3.5 习题
 第4章 VHDL程序设计基础
 第5章 VHDL程序设计实践
 第6章 用VHDL语言进行集成电路设计
第2部分
 第7章 VHDL程序设计实验上机指导
 附录实验7 timerc.vhd程序设计参考

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

x
您需要登录后才可以回帖 登录 | 注册

本版积分规则

小黑屋|手机版|Archiver|电力建设网站 ( 苏ICP备16030970号-1 )|网站地图

GMT+8, 2024-5-9 03:30 , Processed in 0.197577 second(s), 27 queries .

Powered by Discuz! X3.4 Licensed

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表